Vorlage:PreisLang: Unterschied zwischen den Versionen

Aus Splitterwiki
Zur Navigation springen Zur Suche springen
(Einleitung erweitert)
(Quelltext: Zeilenumbrüche entfernt, Einleitung erweitert)
Zeile 1: Zeile 1:
 
<noinclude>
 
<noinclude>
Dies ist die Vorlage „PreisLang“. Sie wandelt eine Zahl in einen anzeigbaren Preis um. Dabei gelten folgende Regeln:
+
Dies ist die Vorlage „PreisLang“. Sie wandelt eine Zahl in einen Preis um, dem die passende Währungseinheit (Telar, Lunar, Solar) angehangen wird. Beispiel:
 +
37 &rarr; {{PreisLang| 37 }}
 +
Dabei gelten folgende Regeln:
 
* Die zu wandelnde Zahl ist die kleineste Währungseinheit = Telare
 
* Die zu wandelnde Zahl ist die kleineste Währungseinheit = Telare
 
* Die zu wandelnde Zahl hat keine Nachkommastellen und keine Tausender-Trennpunkte (ganze, unformatierte Zahl)
 
* Die zu wandelnde Zahl hat keine Nachkommastellen und keine Tausender-Trennpunkte (ganze, unformatierte Zahl)
Zeile 6: Zeile 8:
 
* Ab einem Wert von 100 (unter 10.000) werden Lunare zurückgegeben
 
* Ab einem Wert von 100 (unter 10.000) werden Lunare zurückgegeben
 
* Ab einem Wert von 10.000 werden Solare zurückgegeben
 
* Ab einem Wert von 10.000 werden Solare zurückgegeben
Für die Anzeige mit Währungskürzeln (T/L/S) siehe: [[:Vorlage:PreisKurz]].
+
Für die Anzeige mit Währungskürzeln (T, L, S) siehe: [[:Vorlage:PreisKurz]].
  
 
Sie sollte im folgenden Format genutzt werden:
 
Sie sollte im folgenden Format genutzt werden:
Zeile 50: Zeile 52:
  
 
Klicke auf „Bearbeiten“, um den Vorlagentext anzusehen.
 
Klicke auf „Bearbeiten“, um den Vorlagentext anzusehen.
</noinclude><includeonly><!-- START-->
+
</noinclude><includeonly><!-- START
<!-- Ist es überhaupt eine Zahl? -->
+
--><!-- Ist es überhaupt eine Zahl?  
{{#iferror:
+
-->{{#iferror:<!--
  {{#expr: {{{1|}}}+1 }}
+
-->  {{#expr: {{{1|}}}+1 }}<!--
  | {{#vardefine: PreisLang | FEHLER: Keine Zahl }}
+
-->    | {{#vardefine: PreisLang | FEHLER: Keine Zahl }}<!--
  |<!-- Jetzt wird auf eine ganze Zahl gerundet
+
-->    |<!-- Jetzt wird auf eine ganze Zahl gerundet
  
 
-->  {{#vardefine: PreisRoh | {{#expr: {{{1|}}}/1 round 0 }} }}<!--
 
-->  {{#vardefine: PreisRoh | {{#expr: {{{1|}}}/1 round 0 }} }}<!--
Zeile 93: Zeile 95:
 
<!-- Das Ergebnis zurückgeben:
 
<!-- Das Ergebnis zurückgeben:
 
-->{{zahlenformat:{{#var:PreisLang}}}} {{#var:Einheit}}<!--  
 
-->{{zahlenformat:{{#var:PreisLang}}}} {{#var:Einheit}}<!--  
DEGUB-->
+
DEGUB-->{{#ifeq: debug | {{lc:{{{3|}}}}}<!--
{{#ifeq: debug | {{lc:{{{3|}}}}}
+
-->|<!--
  |
+
-->'''<nowiki>{{{1|}}}</nowiki>''' = {{{1|}}}<br /><!--
  '''<nowiki>{{{1|}}}</nowiki>''' = {{{1|}}}<br />
+
-->'''<nowiki>{{#expr: {{{1|}}}/1 round 0 }}</nowiki>''' = {{#expr: {{{1|}}}/1 round 0 }}<br /><!--
  '''<nowiki>{{#expr: {{{1|}}}/1 round 0 }}</nowiki>''' = {{#expr: {{{1|}}}/1 round 0 }}<br />
+
-->'''<nowiki>{{#var:PreisRoh}}</nowiki>''' = {{#var:PreisRoh}}<br /><!--
  '''<nowiki>{{#var:PreisRoh}}</nowiki>''' = {{#var:PreisRoh}}<br />
+
-->'''<nowiki>{{#var:PreisLang}}</nowiki>''' = {{#var:PreisLang}}<br /><!--
  '''<nowiki>{{#var:PreisLang}}</nowiki>''' = {{#var:PreisLang}}<br />
+
-->|}}<!-- ENDE  
|}}<!-- ENDE  
 
 
--></includeonly>
 
--></includeonly>

Version vom 9. Juni 2014, 14:00 Uhr

Dies ist die Vorlage „PreisLang“. Sie wandelt eine Zahl in einen Preis um, dem die passende Währungseinheit (Telar, Lunar, Solar) angehangen wird. Beispiel:

37 → 

37 Telare Dabei gelten folgende Regeln:

  • Die zu wandelnde Zahl ist die kleineste Währungseinheit = Telare
  • Die zu wandelnde Zahl hat keine Nachkommastellen und keine Tausender-Trennpunkte (ganze, unformatierte Zahl)
  • Unter einem Wert von 100 werden Telare zurückgegeben
  • Ab einem Wert von 100 (unter 10.000) werden Lunare zurückgegeben
  • Ab einem Wert von 10.000 werden Solare zurückgegeben

Für die Anzeige mit Währungskürzeln (T, L, S) siehe: Vorlage:PreisKurz.

Sie sollte im folgenden Format genutzt werden:

{{PreisLang|<Wert>|<Nachkommastellen>}}

wobei der erste Parameter <Wert> (Pflichtparameter) der Preis in der kleinesten Währungseinheit ist (also der Preis in Telaren) und der zweite Parameter <Nachkommastellen> (optional) angibt, auf wieviele Stellen der Wert gerundet werden soll (siehe dazu auch: mediawiki.org: Runden). Wird der zweite Parameter nicht angegeben, wird auch nicht gerundet (es entstehen dann mitunter einige Nachkommastellen).

Beispiele

Eingangswert Ergebnis Kommentar
{{PreisLang| 37 }}

37 Telare | 37 Telare rein → 37 Telare raus

{{PreisLang| 37 | 1 }}

37 Telare | 37 Telare auf eine Nachkommastelle runden → dasselbe Ergebnis (es entsteht ja kein Bruch)

{{PreisLang| 37 | -1 }}

40 Telare | 37 Telare auf ganze 10er runden (-1) → Jetzt ist es etwas mehr geworden

{{PreisLang| 127 | 0}}

1 Lunar | 127 Telare rein, auf ganze Zahl runden (0) → Ab 100 Telaren wird in Lunare gewandelt - und die werden hier auf eine ganze Zahl gerundet

{{PreisLang| 127 | 1}}

1,3 Lunare | 127 Telare rein, auf eine Nachkommastelle runden (1) → Es werden wieder Lunare erzeugt, diesmal aber mit einer Zahl hinter dem Komma

{{PreisLang| 127 }}

1,27 Lunare | 127 Telare rein, nicht runden → Es werden wieder Lunare mit allen Nachkommastellen erzeugt

{{PreisLang| 1307 | 1}}

13,1 Lunare | 1.307 Telare rein, auf eine Nachkommastelle runden (1) → Lunare mit einer gerundeten Zahl hinter dem Komma

{{PreisLang| 14007 | 0 }}

1 Solar | 14.007 Telare rein, auf ganze Zahl runden (0) → Diesmal enstehen Solare, da die Summe fünfstellig ist. Und ohne Nachkommastelle wird hier einiges weggerundet.

{{PreisLang| 150077 | 2 }}

15,01 Solare | 150.007 Telare rein, zwei Nachkommastellen (2) → Wieder enstehen Solare, und dank der beiden Nachkommastellen ist noch ein Lunar dabei.

{{PreisLang| 150077 }}

15,0077 Solare | 150.007 Telare rein, keine Angabe zu Nachkommastellen → Solare mit vielen Nachkommastellen.

Klicke auf „Bearbeiten“, um den Vorlagentext anzusehen.